第11回 技術者のための回路設計開発フロー その1「デザイン設計編」

図4:メガファンクションのパラメータをカスタマイズして入力できるQuartus II の「MegaWizard Plug-In Manager」機能

図4:メガファンクションのパラメータをカスタマイズして入力できるQuartus II の「MegaWizard Plug-In Manager」機能